Intel Quartus Prime Pro Edition: Version 20.4 Software and Device Support Release Notes
Version Information
Updated for: |
---|
Intel® Quartus® Prime Design Suite 20.4 |
1. Intel Quartus Prime Pro Edition Version 20.4 Software and Device Support Release Notes
For additional information about this software release, refer to the Intel® Quartus® Prime Pro Edition README file in the following location: <installation directory>/quartus/readme.txt
For information about operating system support, refer to the following web page: Intel FPGA Operating System Support.
1.1. New Features and Enhancements
Intel® Quartus® Prime Pro Edition Software Version 20.4 includes functional and security updates. Keep your software up-to-date and follow the technical recommendations that help improve the security of your Intel® Quartus® Prime installation.
- For Intel® Stratix® 10 devices, enabled partial reconfiguration and encrypted partial reconfiguration bitstream support.
- Enhanced handling of designs with large inferred RAM requirements.
-
Enhanced Platform Designer as follows:
- Added support for system HDL parameters. You can pass parameter values from parent systems to subsystems and from system to instantiated IP blocks by adding HDL parameters to systems and assigning values to instance HDL parameters that are exposed
- Added new interconnect parameter: Enable all pipeline stages.
- Added Add All Pipelines and Remove All Pipelines buttons to Memory-Mapped Interconnect tab.
Intel® Quartus® Prime Pro Edition Software Version 20.4 also includes bug fixes. Review Software Issues Resolved and Software Patches Included in this Release to see if this version contains fixes for or otherwise resolves any of your customer service requests.
1.2. Changes to Software Behavior
-
For
Intel®
Agilex™
devices, the following active serial
configuration clock (AS_CLK) frequencies is added:
- 166 MHz
- 133 MHz
- 108 MHz
-
Intel®
Quartus® Prime Pro Edition software now requires processors with one of the following
microarchitectures:
- Intel® Nethalem (2008) or later
- AMD Bulldozer (2011) or later
- Starting with the
Intel®
Agilex™
device
family, the EDA netlist writer does not support IBIS model generation. The
EDA netlist writer continues to support IBIS model generation for earlier
device families.
You can download IBIS models for all device families from the following web page: IBIS Models for Intel® Devices. This page is updated as IBIS models for devices become available.
- Intel FPGA IP cores are transitioning to a new version
number scheme. Previously, IP core version numbers aligned with
Intel®
Quartus® Prime version numbers.Under the new scheme, IP core version numbers move to a three-part version numbering scheme (X.Y.Z) that is independent of Intel® Quartus® Prime version numbers. Changes in the parts of an IP core version number indicate different things and might require actions on your part:
- X: A change in X indicates a major revision of the IP. You must regenerate the IP.
- Y: A change in Y indicates that the IP includes new features, but retains backwards compatible behavior, ports, and parameters with all X level IP cores. Regenerate the IP to include these new features.
- Z: A change in Z indicates that the IP includes bug fixes and minor improvements, but retains backwards compatible behavior, ports, and parameters with all X level IP cores. Regenerate the IP to include the fixes and improvements.
In addition, new IP cores start their version numbering at 1.0.0. Existing IP cores adopt the new numbering scheme but increment the version number from their current version number.
Review your IP core release notes to confirm the versioning scheme for your IP core.
1.2.1. Deprecated Features and Functions
Features and Functions Deprecated as of Intel® Quartus® Prime Pro Edition Version 20.4
No features or functions have been deprecated in Intel® Quartus® Prime Pro Edition Version 20.4.
Features and Functions Deprecated as of Intel® Quartus® Prime Pro Edition Version 20.3
The following features and functions are deprecated as of Intel® Quartus® Prime Pro Edition Version 20.3:
-
Support for Cadence*
Incisive* Enterprise
Simulator (IES).
Use Cadence* Xcelium* Parallel Logic Simulation or another supported simulation tool instead. For a list of supported simulation tools, see EDA Interface Information.
-
Rapid Recompile
compile flow
Use ECO compile flow instead.
-
Report Timing Closure Recommendations command
Use the Report DRC command in Design Assistant instead. The design rule check (DRC) include rules used to report timing closure recommendations.
-
Intel®
Hyperflex™
Retimer Rules (HRR) category in Design
Assistant.
The rules in the HRR category have been moved into the Timing Closure Rules (TMC) category and the Reset Rules (RR) category.
1.2.2. Removed Features and Functions
Features and Functions Removed from Intel® Quartus® Prime Pro Edition Version 20.4
No features or functions have been removed from Intel® Quartus® Prime Pro Edition Version 20.4.
Features and Functions Removed from Intel® Quartus® Prime Pro Edition Version 20.3
As of Intel® Quartus® Prime Version 20.3, the components that comprised the Intel® SoC Embedded Development Suite (EDS) are available only through GitHub.For details, see the Intel® Download Center for FPGAs: https://fpgasoftware.intel.com/soceds/.
1.3. Operating System Support
Information about operating system support for the Intel® Quartus® Prime Design Suite is available on the Operating System Support page of the Intel® FPGA website.
- CentOS* 8.0
- Red Hat* Enterprise Linux* 8
- SUSE* Linux Enterprise Server 15
- Ubuntu* Linux 20 LTS
- Windows Server* 2019
- Red Hat* Enterprise Linux* 6
- Ubuntu* Linux 14 LTS
1.4. Memory Recommendations
Intel recommends that your system be configured to provide virtual memory equal to the recommended physical RAM that is required to process your design.
Family | Device | Recommended Physical RAM |
---|---|---|
Intel® Agilex™ | AGFA022, AGFB022, AGFA027, AGFB027 | 72 GB |
AGFA012, AGFB012, AGFA014, AGFA014-R0, AGFB014, AGFB014-R0 | 64 GB | |
Intel® Arria® 10 | 10AT115, 10AX115 | 48 GB |
10AT090, 10AX090 | 44 GB | |
10AS066, 10AX066 | 32 GB | |
10AS057, 10AX057 | 30 GB | |
10AS048, 10AX048 | 28 GB | |
10AS032, 10AX032 | 24 GB | |
10AS027, 10AX027 | 22 GB | |
10AS022, 10AX022 | 20 GB | |
10AS016, 10AX016 | 18 GB | |
Intel® Cyclone® 10 GX | 10CX85, 10CX105, 10CX150, 10CX220 | 18 GB |
Intel® Stratix® 10 | 1SD21BP, 1SD280P, 1SG10MH, 1SG210H, 1SG211H, 1SG250H, 1SG250L, 1SG280H, 1SG280L, 1SM21BE, 1SM21BH, 1SM21CH, 1ST210E, 1ST250E, 1ST280E, 1SX210H, 1SX250H, 1SX250L, 1SX280H, 1SX280L | 64 GB |
1SG165H, 1SG166H, 1SM16BE, 1SM16BH, 1SM16CH, 1ST165E, 1SX165H | 48 GB | |
1SD110P, 1SG040H, 1SG065H, 1SG085H, 1SG110H, 1ST040E, 1ST085E, 1ST110E, 1SX065H, 1SX085H,1SX110H, 1SX040H | 32 GB |
1.5. Device Support and Pin-Out Status
Device Family | Devices |
---|---|
Intel® Arria® 10 |
10AS016, 10AS022, 10AS027, 10AS032, 10AS048, 10AS057,10AS066, 10AT090, 10AT115, 10AX016, 10AX022, 10AX027, 10AX032, 10AX048, 10AX057, 10AX066, 10AX090, 10AX115 |
Intel® Cyclone® 10 GX |
10CX085, 10CX105, 10CX150, 10CX220 |
Intel® Stratix® 10 |
1SD110P, 1SD21BP, 1SD280P, 1SG085H, 1SG10MH, 1SG110H, 1SG165H, 1SG166H, 1SG210H, 1SG211H, 1SG250H, 1SG250L, 1SG280H, 1SG280L, 1SM16BE, 1SM16BH, 1SM16CH, 1SM21BE, 1SM21BH, 1SM21CH, 1ST040E, 1ST085E, 1ST110E, 1ST165E, 1ST210E, 1ST250E, 1ST280E, 1SX085H, 1SX110H, 1SX165H, 1SX210H, 1SX250H, 1SX250L, 1SX280H, 1SX280L |
Device Family | Devices |
---|---|
Intel® Agilex™ | AGFA014-R0, AGFB014-R0 |
Intel® Stratix® 10 |
1SD110P-S1, 1SD280P-S1, 1SG040H, 1SG110H-S1, 1SG280H-S2, 1SG280H-S3, 1SG280L-S2, 1SG280L-S3, 1SM21BH-S1, 1SM21CH-S1, 1SX040H, 1SX110H-S1, 1SX280H-S3, 1SX280L-S3 |
Device Family | Devices |
---|---|
Intel® Agilex™ | AGFA012, AGFA014, AGFA022, AGFA027, AGFB012, AGFB014, AGFB022, AGFB027 |
Intel® Stratix® 10 | 1SG065H, 1SX065H |
Device Family | Devices |
---|---|
No devices with this status in Intel® Quartus® Prime Pro Edition Version 20.4. |
1.5.1. Changes in Device Support
Starting with Intel® Quartus® Prime Version 20.1, a new device support level was introduced: Preliminary device support.
For devices with Preliminary device support, Intel® Quartus® Prime provides full compilation, simulation, timing analysis, and programming support but the device models, bitstreams, and firmware for the devices are not finalized.
Devices with Final device support (previously Full ) have finalized device models, bitstreams, and firmware.
The definitions of Initial and Advance device support levels are unchanged.
For information about known device issues and workarounds, refer to the following web page: Intel FPGA Knowledge Base.
1.6. Timing Model, Power Model, and Device Status
Only devices with a timing model, power model, and device status of Final are suitable for production systems.
Device Family | Device | Timing Model Status | Power Model Status | Device Status |
---|---|---|---|---|
Intel® Agilex™ | AGFA014-R0, AGFB014-R0 | Preliminary | Preliminary | Preliminary |
AGFA012, AGFA014, AGFA022, AGFA027, AGFB012, AGFB014, AGFB022, AGFB027 | Preliminary | Preliminary | Preliminary | |
Intel® Arria® 10 | 10AX016, 10AS016, 10AX022, 10AS022, 10AX027, 10AS027, 10AX032, 10AS032 | Final – 16.1 1 2 | Final – 17.0 | Final – 17.0 |
10AX048, 10AS048 | Final – 16.0.2 2 | |||
10AX057, 10AS057, 10AX066, 10AS066, 10AT090, 10AX090 | Final – 16.0.1 2 | Final – 16.0.1 | Final – 16.0.1 | |
10AX115, 10AT115 | Final – 16.0 2 | Final – 16.0 | Final – 16.0 | |
Intel® Cyclone® 10 GX | 10CX085, 10CX105, 10CX150, 10CX220 | Final – 17.0 | Final – 18.0 | Final – 18.0 |
Intel® Stratix® 10 | 1SG280L, 1SX280L, 1SG250L, 1SX250L | Final – 18.0.1 | Final – 18.1.1 | Final – 18.1.1 |
1SG280H, 1SX280H, 1SG250H, 1SX250H, 1SG210H, 1SX210H, 1SG165H, 1SX165H, 1SG110H, 1SX110H, 1SG085H, 1SX085H | Final – 18.1.1 | Final – 18.1.1 | Final – 18.1.1 | |
1ST280E, 1ST250E | Final – 18.1.1 | Final – 19.4 | Final – 19.4 | |
1SM21BH, 1SM21CH, 1SM16BH, 1SM16CH | Final – 19.1 | Final – 19.1 | Final – 19.1 | |
1SG10MH, 1SG166H, 1SG211H | Final – 19.1 | Final – 19.3 | Final – 19.3 | |
1ST210E, 1SM21BE, 1ST165E, 1SM16BE | Final – 19.2 | Final – 19.4 | Final – 19.4 | |
1SD280P, 1ST040E, 1ST085E, 1ST110E | Final – 20.1 | Final – 20.1 | Final – 20.1 | |
1SD110P |
Final – 20.2 | Final – 20.2 | Final – 20.2 | |
1SD21BP | Final - 20.3 | Final -20.3 | Final - 20.3 | |
1SG040H, 1SX040H | Final -20.3 | Final -20.3 | Preliminary | |
1SG065H, 1SX065H |
Preliminary | Preliminary | Preliminary |
1.7. IBIS Models
Device Family | IBIS Model Status |
---|---|
Intel® Agilex™ | Refer to IBIS Models for Intel® Devices. |
Intel® Arria® 10 | Final – 16.1.2 |
Intel® Cyclone® 10 GX | Final – 17.0 |
Intel® Stratix® 10 | Final – 18.1 |
Starting with the Intel® Agilex™ device family, IBIS models are available only online at the following web page: IBIS Models for Intel® Devices. This page is updated as IBIS models for devices become available or are updated.
1.8. EDA Interface Information
Synthesis Tools | Version |
---|---|
Mentor Graphics* Precision* | Mentor Graphics* Precision* versions that support the Intel® Quartus® Prime software are typically released after the release of the Intel® Quartus® Prime software. Contact Mentor Graphics for versions of Mentor Graphics* Precision* that support Intel® Quartus® Prime Pro Edition Software Version 20.4. |
Synopsys* Synplify*, Synplify Pro*, and Synplify* Premier | Synopsys* Synplify*, Synplify Pro*, and Synplify* Premier versions that support the Intel® Quartus® Prime software are typically released after the release of the Intel® Quartus® Prime software. Contact Synopsys for versions of Synopsys* Synplify*, Synplify Pro*, and Synplify* Premier that support Intel® Quartus® Prime Pro Edition Software Version 20.4. |
Simulation Tools | Version |
---|---|
Aldec* Active-HDL* |
11.1 (Windows 32-bit only) |
Aldec* Riviera-PRO* |
2019.10 (64-bit only) |
Cadence* Incisive* Enterprise Simulator (IES) As of Intel® Quartus® Prime Pro Edition Version 20.3, support for IES is deprecated. |
15.20 (64-bit Linux only) |
Cadence* Xcelium* Parallel Logic Simulation | 20.03 (64-bit Linux only) |
Mentor Graphics* ModelSim* PE |
2020.3 (Windows 32-bit only) |
Mentor Graphics* ModelSim* SE |
2020.2 (64-bit only) |
Mentor Graphics* ModelSim*-Intel® FPGA Edition* |
2020.3 (32-bit only) |
Mentor Graphics* Questa* Advanced Simulator |
2020.2 (64-bit only) |
Synopsys* VCS* and VCS* MX |
P-2019.06 (64-bit Linux only) |
*Mentor Graphics* ModelSim*-Intel® FPGA Edition requires licensing daemon version 11.16.4.0 (or later). You can obtain the licensing daemon from the Intel FPGA License Daemon Downloads web page.
Operating System Support for Mentor Graphics* ModelSim*-Intel® FPGA Edition version 2020.2 (requires 32-bit libraries)
- Red Hat* Enterprise Linux* 7 (64-bit)
- Red Hat* Enterprise Linux* 8 (64-bit)
- SUSE* Linux Enterprise Server 12 (64-bit)
- Windows* 10 (64-bit)
1.9. Antivirus Verification
Antivirus Verification Software
McAfee VirusScan Command Line for Linux64 Version: 6.1.3.242
AV Engine version: 6010.8670 for Linux64.
Dat set version: 9825 created Dec 4 2020
1.10. Software Issues Resolved
The following customer service requests were fixed or otherwise resolved in Intel® Quartus® Prime Pro Edition Version 20.4:
Customer Service Request Numbers | |||||||
---|---|---|---|---|---|---|---|
00055679 | 00486450 | 00491101 | 00495374 | 00496796 | 00502941 | 00505988 | 00512297 |
00513730 | 00514454 | 00517761 | 00520401 | 00521462 | 00522286 | 00525469 | 00525621 |
00527233 | 00527980 | 00528244 | 00528606 | 00534428 | 00537322 | 00537558 | 00538217 |
00538514 | 00541253 | 00545245 | 00550737 | 00550956 | 00551113 | 00551195 | 00552252 |
00552264 | 00552614 | 00553084 | 00556061 | 00557347 | 00558503 | 04620704 | 04838932 |
1.11. Software Patches Included in this Release
Intel® Quartus® Prime Pro Edition Version 20.4 contains the following patches for previous versions of Intel® Quartus® Prime Pro Edition software:
Software Version | Patch | Customer Service Request Number |
---|---|---|
Intel® Quartus® Prime Version 20.3 | 0.35 | 00055679 |
Intel® Quartus® Prime Version 20.3 | 0.31 | – |
Intel® Quartus® Prime Version 20.3 | 0.28 | 00553084, 00551113 |
Intel® Quartus® Prime Version 20.3 | 0.24 | – |
Intel® Quartus® Prime Version 20.3 | 0.19 | – |
Intel® Quartus® Prime Version 20.3 | 0.18 | – |
Intel® Quartus® Prime Version 20.3 | 0.17 | – |
Intel® Quartus® Prime Version 20.3 | 0.15 | – |
Intel® Quartus® Prime Version 20.3 | 0.14 | 00552614 |
Intel® Quartus® Prime Version 20.3 | 0.12 | – |
Intel® Quartus® Prime Version 20.3 | 0.10 | – |
Intel® Quartus® Prime Version 20.3 | 0.09 | – |
Intel® Quartus® Prime Version 20.3 | 0.08fw | – |
Intel® Quartus® Prime Version 20.3 | 0.07 | – |
Intel® Quartus® Prime Version 20.3 | 0.06 | 00528606 |
Intel® Quartus® Prime Version 20.3 | 0.05fw | – |
Intel® Quartus® Prime Version 20.3 | 0.03 | – |
Intel® Quartus® Prime Version 20.3 | 0.02 | – |
Intel® Quartus® Prime Version 20.3 | 0.01 | – |
Intel® Quartus® Prime Version 20.2 | 0.49 | 00550737 |
Intel® Quartus® Prime Version 20.2 | 0.48 | – |
Intel® Quartus® Prime Version 20.2 | 0.47fw | – |
Intel® Quartus® Prime Version 20.2 | 0.37 | 00541971 |
Intel® Quartus® Prime Version 20.1 | 0.53fw | – |
Intel® Quartus® Prime Version 20.1 | 0.51 | 00522286 |
Intel® Quartus® Prime Version 20.1 | 0.50 | – |
Intel® Quartus® Prime Version 20.1 | 0.49 | – |
Intel® Quartus® Prime Version 20.1 | 0.48 | – |
Intel® Quartus® Prime Version 20.1 | 0.46fw | – |
Intel® Quartus® Prime Version 20.1 | 0.39 | – |
Intel® Quartus® Prime Version 20.1 | 0.37 | 00538514 |
Intel® Quartus® Prime Version 19.4 | 0.40 | – |
Intel® Quartus® Prime Version 19.4 | 0.38 | – |
Intel® Quartus® Prime Version 19.4 | 0.37 | 00492904 |
Intel® Quartus® Prime Version 19.3 | 0.70 | 00548799 |
Intel® Quartus® Prime Version 19.3 | 0.68 | – |
Intel® Quartus® Prime Version 19.3 | 0.62 | 00539404 |
Intel® Quartus® Prime Version 19.2 | 0.29 | – |
Intel® Quartus® Prime Version 19.2 | 0.28 | 00543139 |
Intel® Quartus® Prime Version 19.2 | 0.22 | 00522286 |
Intel® Quartus® Prime Version 19.1 | 0.56 | 00537322 |
1.12. Latest Known Intel Quartus Prime Software Issues
Description | Workaround |
---|---|
For Intel® Agilex™ AGFA012 and AGFA014 devices, programming your device with an SRAM object files (SOFs) generated by Intel® Quartus® Prime Version 20.3 or earlier causes the output of the Reset Release Intel® FPGA IP to behave abnormally. When you try to program your device the the SOF, you receive the following critical warning message: Critical Warning: The SOF provided is generated using Quartus 20.3 or older. Kindly recompile the design on Quartus 20.4 or newer. Using SOF generated in 20.3 or older version on Quartus 20.4 or newer version will cause the output of the Reset Release Intel FPGA IP to behave abnormally. |
Recompile your designs with Intel® Quartus® Prime Pro Edition Version 20.4 or later. For details about this issue and the availability of any fixes, refer to the following article in the Intel Programmable Solutions Knowledge Base: |
For Intel® Agilex™ SoC Engineering Sample (ES) devices, Intel® Quartus® Prime configures the FPGA to SoC bridge incorrectly which causes the bridge to not function in hardware. |
For details about this issue and the availability of any fixes, refer to the following article in the Intel Programmable Solutions Knowledge Base: Why does the FPGA to SoC Bridge not work in my Intel Agilex SoC Design? |
You can find known issue information for previous versions of the Quartus® Prime software on the Intel FPGA Knowledge Base web page.
Information about known software issues that affect previous versions of the Quartus® II software is available on the Intel® Quartus® Prime and Quartus II Software Support web page.
Information about issues affecting the Intel® FPGA IP Library is available in the release notes for each IP. You can find the IP release notes on the Intel® FPGAs and Programmable Devices Release Notes web page.
1.13. Intel Quartus Prime Pro Edition Version 20.4 Software and Device Support Release Notes Archives
1.14. Document Revision History
Document Version | Intel® Quartus® Prime Version | Changes |
---|---|---|
2020.12.14 | 20.4 |
|