Article ID: 000080504 Content Type: Troubleshooting Last Reviewed: 11/16/2020

Why is the compilation time unexpectedly long in the Intel® Quartus® Prime Pro Edition software version 20.2 and earlier?

Environment

  • Intel® Quartus® Prime Pro Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Description

    Due to a problem in the Intel® Quartus® Prime Pro Edition software version 20.2 and earlier, you may see the compile time is unexpectedly long for designs targeting Intel® Stratix® 10 devices. This is because of some slow rule checkers in the Design Assistant and the Design Assistant flow is enabled by default.   

    Resolution

    To work around this problem, disable the DA flow: 

    1. Check off "Enable Design Assistant execution during compilation" in Settings ->  Design Assistant Rule Settings or 
    2. Write the following QSF assignment in your project's QSF:    

    set_global_assignment -name FLOW_ENABLE_DESIGN_ASSISTANT ON

     

    This problem is fixed starting with the Intel® Quartus® Prime Pro Edition software version 20.3.

    Related Products

    This article applies to 1 products

    Intel® Stratix® 10 FPGAs and SoC FPGAs