Article ID: 000084888 Content Type: Troubleshooting Last Reviewed: 03/16/2023

Why can’t I access the Intel® MAX® 10 device via JTAG when the TDO pin is used as a user input pin in user mode?

Environment

  • Quartus® II Subscription Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Description

    Due to a known problem in the Intel® Quartus® Prime Software, when the JTAGEN pin option is enabled for Intel® MAX® 10 devices, the JTAG TDO pin does not work in hardware when it is assigned as an input pin in user mode even if the JTAGEN pin is pulled high in user mode. 

    The JTAG TDO pin works only when assigned as bidirectional or output pin in user mode.

     

     

     

     

    Resolution

    This problem is scheduled to be fixed in a future version of the Intel Quartus Prime Software.

    Related Products

    This article applies to 1 products

    Intel® MAX® 10 FPGAs