Intel® Quartus® Prime Standard Edition User Guide: Timing Analyzer

ID 683068
Date 2/21/2024
Public
Document Table of Contents

2.3.1. Recommended Initial SDC Constraints

Include the following basic SDC constraints in your initial .sdc file.
The following example shows application of the recommended initial SDC constraints for a simple dual-clock design:
create_clock -period 20.00 -name adc_clk [get_ports adc_clk]
create_clock -period 8.00 -name sys_clk [get_ports sys_clk]

derive_pll_clocks

derive_clock_uncertainty