Intel® MAX™ 10 Embedded Memory User Guide

ID 683431
Date 5/05/2023
Public
Document Table of Contents

6.2. ROM: 1-PORT IP Core Parameters for Intel® MAX® 10 Devices

Table 23.  ROM: 1-Port IP Core Parameters for Intel® MAX® 10 Devices This table lists the IP core parameters applicable to Intel® MAX® 10 devices.
Option Legal Values Description
Parameter Settings: General
How wide should the 'q' output bus be? 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 36, 40, 48, 64, 72, 108, 128, 144, and 256. Specifies the width of the 'q' output bus in bits.
How many <X>-bit words of memory? 32, 64, 128, 256, 512, 1024, 2048, 4096, 8192, 16384, 32768, and 65536. Specifies the number of <X>-bit words.
What should the memory block type be?
  • Auto
  • M9K
Specifies the memory block type. The types of memory block that are available for selection depends on your target device.
Set the maximum block depth to
  • Auto
  • 32
  • 64
  • 128
  • 256
  • 512
  • 1024
  • 2048
  • 4096
  • 8192
Specifies the maximum block depth in words.
What clocking method would you like to use?
  • Single clock
  • Dual clock: use separate ‘input’ and ‘output’ clocks
Specifies the clocking method to use.
  • Single clock—A single clock and a clock enable controls all registers of the memory block.
  • Dual clock: use separate ‘input’ and ‘output’ clocks—An input and an output clock controls all registers related to the data input and output to/from the memory block including data, address, byte enables, read enables, and write enables.
Parameter Settings: Regs/Clkens/Aclrs
Which ports should be registered?
  • 'address' input port
  • 'q' output port
On/Off Specifies whether to register the 'address' input port and 'q' output port.
Create one clock enable signal for each clock signal. On/Off Specifies whether to turn on the option to create one clock enable signal for each clock signal.
More Options
  • Clock enable options
    • Use clock enable for port A input registers
    • Use clock enable for port A output registers
  • Address options
    • Create an 'addressstall_a' input port
On/Off
  • Clock enable options—Clock enable for port B input and output registers are turned on by default. You only need to specify whether to use clock enable for port A input and output registers.
  • Address options—Specifies whether to create clock enables for address registers. You can create these ports to act as an extra active low clock enable input for the address registers.
Create an ‘aclr’ asynchronous clear for the registered ports. On/Off Specifies whether to create an asynchronous clear port for the registered ports.
More Options
  • 'address' port
  • 'q' port
On/Off Specifies whether the address and q ports are cleared by the aclr port.
Create a 'rden' read enable signal On/Off Specifies whether to create a rden read enable signal.
Parameter Settings: Mem Init
Do you want to specify the initial content of the memory? Yes, use this file for the memory content data. Specifies the initial content of the memory. In ROM mode you must specify a Memory Initialization File (.mif) or a Hexadecimal (Intel-format) File (.hex). The configuration scheme of your device is Internal Configuration. In order to use memory initialization, you must select a single image configuration mode with memory initialization, for example the Single Compressed Image with Memory Initialization option. You can set the configuration mode on the Configuration page of the Device and Pin Options dialog box.
Allow In-System Memory Content Editor to capture and update content independently of the system clock On/Off Specifies whether to allow In-System Memory Content Editor to capture and update content independently of the system clock.
The 'Instance ID' of this RAM is Specifies the RAM ID.