AN 903: Accelerating Timing Closure: in Intel® Quartus® Prime Pro Edition

ID 683664
Date 2/25/2021
Public

1.1. Step 1: Analyze and Optimize Design RTL

Optimizing your design’s source code is typically the first and most effective technique for improving the quality of your results. The Intel® Quartus® Prime Design Assistant helps you to quickly correct basic design rule violations, and recommends RTL changes that simplify design optimization and timing closure.

Timing Closure Problems

  • Excessive logic levels influences Fitter processing order, duration, and quality of results.
  • High fan-out nets cause resource congestion and add additional tension on data paths, needlessly increasing the path criticality, and complicating timing closure. This tension is the attraction force pulling the path (and all paths that share that high fan-out signal) towards the high fan-out source.

Timing Closure Solutions