Floating-Point IP Cores User Guide

ID 683750
Date 5/05/2023
Public
Document Table of Contents

1.11. VHDL LIBRARY-USE Declaration

The VHDL LIBRARY-USE declaration is not required if you use the VHDL Component Declaration.

LIBRARY altera_mf;

USE altera_mf.altera_mf_components.all;