AN-811: Using the Avery BFM for PCI Express Gen3x16 Simulation on Intel® Stratix® 10 Devices

ID 683477
Date 1/23/2018
Public

VCS

  1. In a terminal with Intel® Quartus® Prime, VCS, and Avery resources, navigate to <Example_Design_Directory>/pcie_example_design_tb/pcie_example_design_tb/Avery_sim_script/vcs .
  2. Execute the command, /bin/sh vcstest.sh.