AN 839: Design Block Reuse Tutorial: for Intel® Arria® 10 FPGA Development Board

ID 683783
Date 7/26/2019
Public
Document Table of Contents

1.5.1. Step 1: Add Files and Run Synthesis

To add the core partition to the Consumer project, add the black box as a source file in the project, and assign the core partition .qdb to an instance in the Design Partitions Window, as the following steps describe:
  1. In the Intel® Quartus® Prime Pro Edition software, click File > Open Project and open the /Core_Partition_Reuse/Consumer/top.qpf project file.
  2. To add files to the project, click Project > Add/Remove Files in Project.
  3. On the Files pane, click the browse (...) button near File name to locate and select the /Core_Partition_Reuse/Consumer/blinking_led_bb.sv black box file. Click Open, and then click OK. The file is now a source file in the project.
    Figure 12. Adding Black Box File to the Project
  4. To synthesize the design, click Analysis & Synthesis on the Compilation Dashboard. The Compilation dashboard displays a check mark when synthesis is complete.