Intel® Quartus® Prime Pro Edition User Guide: Third-party Simulation

ID 683870
Date 12/04/2023
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

1.3.2.3. Compilation Command Line Options

Some of the optional command-line arguments for the compilation command (not including HDL file names and library names) include:

  • The type of file for compilation (Verilog HDL, SystemVerilog, or VHDL).
  • The values of the Verilog macros to pass in.
  • The directories containing Verilog "include" files. These are files included in a Verilog HDL file using the `include construct.
  • Simulator-specific optimization switches.