Intel® Quartus® Prime Pro Edition User Guide: Third-party Simulation

ID 683870
Date 12/04/2023
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

1.3.3.1. The Intel® Quartus® Prime Simulation Library Compiler

The Intel® Quartus® Prime Simulation Library Compiler is an Intel® Quartus® Prime software GUI and command-line tool that generates simulation scripts. You can use these scripts to automatically compile the Intel® Quartus® Prime software simulation libraries for a given simulator, device family, and hardware description language (Verilog HDL or VHDL).
Note: For Questa* Intel® FPGA Edition, do not use the Simulation Library Compiler to compile the libraries in Questa* Intel® FPGA Edition. Instead, you must use the Questa* Intel® FPGA Edition precompiled libraries included with this simulator.