Intel® Quartus® Prime Pro Edition User Guide: Third-party Simulation

ID 683870
Date 12/04/2023
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

2. Siemens EDA QuestaSim* Simulator Support

This chapter provides guidelines for simulation of Intel® Quartus® Prime designs with the supported Siemens EDA QuestaSim* simulators.
Note: Intel also provides the Questa* Intel® FPGA Edition simulator, a version of the Questa* Advanced simulator targeted for Intel FPGA devices. The Questa* Intel® FPGA Edition simulator supports the Intel FPGA gate-level simulation libraries, and includes behavioral simulation, HDL test benches, and Tcl scripting support. Refer to the Questa* Intel® FPGA Edition Simulation User Guide for complete information.