Intel® MAX® 10 FPGA Design Guidelines

ID 683196
Date 10/19/2020
Public
Document Table of Contents

1.7.9. Review the synthesis options available in your synthesis tool

If you force a particular power-up condition for your design, use the synthesis options available in your synthesis tool:
  • By default, the Intel® Quartus® Prime software Integrated Synthesis turns on the Power-Up Don’t Care logic option that assumes your design does not depend on the power-up state of the device architecture. Other synthesis tools might use similar assumptions.
  • Designers typically use an explicit reset signal for the design that forces all registers into their appropriate values after reset but not necessarily at power-up. You can create your design with asynchronous reset that allows you to power up the design safely with the reset active, regardless of the power-up conditions of the device.
  • Some synthesis tools can also read the default or initial values for registered signals in your source code and implement the behavior in the device. For example, the Intel® Quartus® Prime software Integrated Synthesis converts HDL default and initial values for registered signals into Power-Up Level settings. The synthesized behavior matches the power-up conditions of the HDL code during a functional simulation.
  • Registers in the device core always power up to a low (0) logic level in the physical device architecture. If you specify a high power-up level or a non-zero reset value (preset signal), synthesis tools typically use the clear signals available on the registers and perform the NOT-gate push back optimization technique. If you assign a high power-up level to a register that is reset low, or assign a low power-up value to a register that is preset high, synthesis tools cannot use the NOT-gate push back optimization technique and might ignore the power-up conditions.